ВІКІСТОРІНКА
Навигация:
Інформатика
Історія
Автоматизація
Адміністрування
Антропологія
Архітектура
Біологія
Будівництво
Бухгалтерія
Військова наука
Виробництво
Географія
Геологія
Господарство
Демографія
Екологія
Економіка
Електроніка
Енергетика
Журналістика
Кінематографія
Комп'ютеризація
Креслення
Кулінарія
Культура
Культура
Лінгвістика
Література
Лексикологія
Логіка
Маркетинг
Математика
Медицина
Менеджмент
Металургія
Метрологія
Мистецтво
Музика
Наукознавство
Освіта
Охорона Праці
Підприємництво
Педагогіка
Поліграфія
Право
Приладобудування
Програмування
Психологія
Радіозв'язок
Релігія
Риторика
Соціологія
Спорт
Стандартизація
Статистика
Технології
Торгівля
Транспорт
Фізіологія
Фізика
Філософія
Фінанси
Фармакологія


Программатор для ПЛИС фирмы Altera

 

Для программирования рассмотренной микросхемы ПЛИС в лаборатории был изготовлен специальный загрузочный программатор ByteBlasterMV, вид которого представлен нарис 3.2,а. Он позволяет быстро и эффективно изменять конфигурацию ПЛИС как в стадии тестирования проекта, так и во время эксплуатации. Перепрограммирование может быть выполнено непосредственно в системе. Пока идет программирование, выводы микросхемы переводятся в третье состояние, для избежания конфликта с системой. Сопротивление внутренних “подтягивающих” резисторов 50 кОм. Данный загрузочный программатор можно использовать для программирования микросхем серий МАХ 3000А, МАХ7000, МАХ 9000 а так же многих других. Разъём Х1 подключается непосредственно к параллельному порту компьютера или через удлиняющий кабель причём его длинна не должна превышать 1,5 метра. Питание для программатора берётся непосредственно от схемы проектируемого устройства. Для нормальной работы программатора необходимо установить драйвер ByteBlasterMV о чём будет описано далее. Микросхему D1 желательно использовать оригинальную так как она может работать в диапазоне питающих напряжений от 2,5 до 5 вольт (в крайнем случае можно заменить на 1564АП5). Все резисторы типа МЛТ 0,125. Конденсатор С1 керамический.

 

 

 

 

Рис. 3.2,а

 

В качестве платы была использована универсальная монтажная плата (рис 3.2,б) из фольгированного стеклотекстолита толщиной 1,5мм и размерами 52х43мм.

 

 

 

Рис. 3.2,б

 

 

3.3. Особенности развертывания на компьюторе среды системы MAX+PLUS II

Фирмы Altera

Для создания проекта в среде системы MAX+PLUS II фирмы ALTERA был скачан с Интернета и установлен свободно распространяемый файл baseline10_1.exe ссылка на сайт приведена в [1], вид основного окна которого приведен на рис 3.3. После установки программы для полнофункциональной работы необходимо зайти на сайт фирмы Альтера и получить файл лицензии [4].

 

Рис. 3.3

 

 

3.4. Особенности работы в среде системы MAX+PLUS II фирмы Altera

Система MAX+PLUS II имеет средства удобного ввода проекта, компиляции и отладки, а также непосредственного программирования устройств. Программное обеспечение системы MAX+PLUS II содержит 11 приложений и главную управляющую программу. Различные приложения, обеспечивающие создание проекта, могут быть активизированы мгновенно, что позволяет пользователю переключаться между ними щелчком мыши или с помощью команд меню. В это же время может работать одно из фоновых приложений, например, компилятор, симулятор, анализатор синхронизации и программатор.

В таблице 3.4 приведено описание приложений:

 

Таблица 3.4

Приложение Выполняемая функция
Hierarchy Display Обзор иерархии - отображает текущую иерархичнскую структуру файлов в виде дерева с ветвями, представляющими собой подпроекты.
Graphic Editor Графический редактор - позволяет разрабатывать схемный логический проект в формате реального отображения на экране.
Symbol Editor Символьный редактор - позволяет редактировать существующие символы и создавать новые.
Text Editor Текстовый редактор - позволяет создавать и редактировать текстовые файлы логического дизайна, написанные на языках AHDL, VHDL, Verilog HDL.
Waveform Editor Сигнальный редактор - выполняет двойную функцию: инструмент для разработки дизайна и инструмент для ввода тестовых векторов и наблюдения результатов тестирования.
Floorplan Editor Поуровневый планировщик - позволяет графическими средствами делать назначения контактам устройства и ресурсов логических элементов.
Compiler Компилятор - обрабатывает графические проекты.
Simulator Симулятор - позволяет тестировать логические операции и внутреннюю синхронизацию проектируемой логической цепи.
Timing Analyzer Временной анализатор - анализирует работу проектируемой логической цепи после того, как она была синтезирована и оптимизирована компилятором.
Programmer Программатор - позволяет программировать, конфигурировать, проводить верификацию и тестировать ПЛИС фирмы ALTERA.
Message Processor Генератор сообщений - выдает на экран сообщения об ошибках, предупреждающие и информационные сообщения.

 

Создадим рабочий каталог в котором будем размещать наш проект, например C:\ALTERA_WORK\schetchic. Схему можно описывать на языках AHDL, VHDL, Verilog HDL (для тех кто предпочитает программирование) или графическим способом (больше подходит для начинающих проектировщиков). Файл проекта — это графический, текстовый или сигнальный файл, созданный с помощью графического или сигнального редакторов системы MAX+PLUS II. Этот файл содержит логику для проекта MAX+PLUS II и компилируется компилятором. Компилятор может автоматически обрабатывать следующие файлы проекта: графические файлы проекта (.gdf); текстовые файлы проекта на языке

AHDL (.tdf); сигнальные файлы проекта (.wdf); файлы проекта на языке VHDL (.vhd); файлы проекта на языке Verilog (.v); схемные файлы OrCAD (.sch); входные файлы EDIF (edf); файлы формата Xilinx Netlist (.xnf); файлы проекта Altera (.adf); файлы цифрового автомата (.smf). Вспомогательные файлы — это файлы, связанные с проектом MAX+PLUS II, но не являющиеся частью его иерархического дерева. Большинство таких файлов не содержит логики проекта. Некоторые из них создаются автоматически приложением системы MAX+PLUS II, другие — пользователем. Примерами вспомогательных файлов являются файлы назначений и конфигурации (.acf), символьные файлы (.sym), файлы отчета (.rpt) и файлы тестовых векторов (.vec).

В ходе данной квалификационной работы будет использоваться графический способ ввода проектируемой цифровой схемы, который близок к разработке цифровых схем в среде EWB 5.12. Освоение специальных языков AHDL, VHDL, Verilog HDL для программирования ПЛИС не предусмотрено, ввиду их громоздкости и большого потребного времени для их освоения.

Итак в главном меню нажимаем Fileзатем Newи выбираем пункт Graphic Editor file и OKрис6. Откроется окно графического редактора. Далее сохраним наш проект под именем schetchic.gdfв наш каталог C:\ALTERA_WORK\для этого нажимаемFileзатем Save AsиOK.Привяжем имя файла к файлу проекта для этого в главном меню нажимаем Fileзатем Projectи далее Set Project to Current Fileили вместо этого можно нажать одновременно клавиши Ctrl+Shift+J. Зададим тип микросхемы который будет использоваться в проекте. Для этого в главном меню нажмем кнопку Assignзатем Device.

В появившемся окне в строке Device Familayвыбираем серию MAX3000A. А в окне DevicesEPM3064ALC44-4 и OK. Если вы не знаете какой объём у вас будет занимать проект то Devicesлучше установитьAUTO, компилятор сам подберёт тип микросхемы.

В рабочей библиотеке имеются элементы различного вида:

1) Логических примитивов (находящихся в папке c:\maxplus2\max2lib\prim\) таких элементов как and, or, nor и др. с различным количеством входов.

2) Аналогов дискретной логики 74-й серии являющиеся аналогами 155 серии (находящихся в папке c:\maxplus2\max2lib\mf\).

3) Параметризированных логических функций, позволяющих создавать проекты цифровых устройств любой сложности (находящихся в папке c:\maxplus2\max2lib\mega_lpm\).

Cоздадим каталог в котором будем размещать собственную библиотеку компонентов C:\ALTERA_WORK\Altera_Libи подключим её к проекту. Для этого в главном меню нажмем кнопку Optionsзатем User Librariesи в окно Directory Name введём путь c:\altera_work\altera_libзатем OK.Разместить на экране символ можно двумя способами для этого щелкнем правой кнопкой по месту где хотим разместить элемент и выберем в появившемся окне менюшку Enter Symbolв окне Symbol Name появившегося окна набрать имя элемента и нажать ОК. Или выбрать необходимую библиотеку в окне Symbol Libraries диалогового окна Enter Symbol и двойным щелчком левой клавиши мыши открыть её. Затем аналогичным образом выбрать необходимый элемент в окне Symbol File. Если проект небольшой и вас устраивают все элементы находящиеся в библиотеке то таким образом устанавливаем все необходимые элементы и соединяем их выводы при помощи проводников. Для этого в графическом редакторе слева находятся пиктограммы при нажатии на которые можно получить соединение прямая линия, линии размещённые под прямым углом, сектора и окружности .Если линия выделена красным цветом то на ней можно нанести адрес соединения а само соединение не делать. Входные и выходные цепи наносят выбрав в библиотеке элементов inputи output (при необходимости присваиваем им имя). Для нанесения логической единицы и нуля набираем vccили gnd. А если необходим свой символ то необходимо открыть новое окно графического редактора и нарисовать схему компонента Рис 3.4,а присвоить ему имя и сохранить в библиотеке c:\altera_work\altera_lib. Привязать к файлу проекта и откомпилировать нажав ctrl+L. Если ошибок нет, то можно проверить работу

 

 

Рис. 3.4,а

 

схемы при помощи симулятора. Для этого открываем Waveform Editor,сохраняем файл под тем же именем. На первой строчке под Name:щёлкаем правой кнопкой мышки, и выбираем меню Enter nodes from SNF,в появившемся окне нажимаем кнопку List, в окне Available Nodes & Groupsпоявятся все входы и выходы нашей схемы. Выделяем необходимые (в данном случае INи OUT) и нажимаем кнопку=>выделенные символы скопируются в правое окно. Нажимаем OK. В редакторе можно увидеть осциллограмму Рис 3.4,б. Под именем Valueможно установить первоначальное состояние входного сигнала для этого левой кнопкой мышки щелкаем по строке слева, в редакторе высвечиваются пиктограммы логической 1 и 0, нажатием пиктограммы присваиваем состояние входа. Можно ввести изменяющийся во времени сигнал или Zсостояние. Конечное время осциллограммы можно ввести зайдя в меню FileзатемEnd Time. Метки времени устанавливаются через меню Optionsзатем Grig Size.

 

 

Рис. 3.4,б

Для просмотра состояния осциллограммы во времени, запустим Simulatorнажав кнопки Ctrl+Shift+L. Осциллограмму можно увидеть наРис 3.4,в. Если полученная модель компонента нас устраивает нарисуем изображение символа. Для этого откроем редактор символа, в главном меню нажимаем Fileзатем Newи выбираем пункт Symbol Editor file и OK. Нажав левую кнопку мышки рисуем очертание символа предварительно выбрав

Рис. 3.4,в

слева в пиктограмме инструмент для рисования. Двойным щелчком левой кнопки мышки открываем меню Enter Pinstubслева в окне указываемтип вывода вход иливыход I/O Type. В окне Full Pinstub NameУказываем имя вывода (в нашем случае IN-входOUT-выход). Размеры всех линий и надписей можно изменять и перемещать щёлкнув левой кнопкой по перемещаемому элементу. Файл необходимо сохранить под тем же именем что и файл графического редактора в нашу папку библиотеки. Закрыв все вспомогательные окна можно ввести символ в файл нашего проекта.

 

Рассмотрим принципиальную схему с динамической индикацией (3.4,г):

 

 

Рис. 3.4,г

 

Блок питания собран на микросхеме D2 типа LM317 и обеспечивает необходимое для D1 напряжение. Выходной ток разрядов индикатора может быть порядка 80 ма при всех включенных индикаторах, поэтому разряды индикаторов коммутируются транзисторами VT1-VT3. Для экспериментальной модели выбрана схема внутреннего генератора, внешние цепи которого представляют собой резисторы R16,R18,C2. Разъём Х1 необходим для подключения к программатору.

 

ПРИЛОЖЕНИЕ 1

 

Вид макета кодера на ПЛИС:

 

 

 

Вид программатора ПЛИС:

 

 

СОДЕРЖАНИЕ

 

 

ВВЕДЕНИЕ ……………………………………………………………………………… 1

ГЛАВА 1. Модель цифровых систем связи с широкополосными сигналами ………. 2

1.1. Основы помехоустойчивого кодирования …………………………………….. 3

1.2. Основные принципы. Типы кодов ……………………………………………… 4

1.3. Кодирование с использованием сверточных кодов …………………………… 5

1.4. Синдромное декодирование сверточных кодов ………………………………. 6

1.5. Применение на практике многопорогового декодирования ………………… 8

ГЛАВА 2. Программируемые логические интегральные схемы (ПЛИС) …………. 13

2.1. Основные сведения о ПЛИС ………………………………………………….. 13

2.1.1. Структура ПЛИС …………………………………………………………. 13

2.1.2. Основные этапы разработки схем на ПЛИС ……………………………. 17

2.1.3. Программирование ПЛИС ………………………………………………. 17

2.2. ПЛИС с гибкой логикой ………………………………………………………. 20

2.2.1. Структура ПЛИС с гибкой логикой ……………………………………… 20

2.2.2. Общие принципы проектирования схем на ПЛИС с гибкой логикой …. 23

2.3. Программируемые пользователем вентильные матрицы (ППВМ) …………. 24

2.3.1. Структура ППВМ …………………………………………………………. 24

2.3.2. Программируемые логические блоки (ПЛБ) …………………………… 25

2.3.3. Организация связей ………………………………………………………. 28

2.3.4. Организация памяти ……………………………………………………… 30

2.3.5. Системы проектирования ………………………………………………… 31

2.3.6. Программирования микросхем ………………………………………….. 33

ГЛАВА 3. Расчетная часть ……………………………………………………………. 35

3.1. Особенности разработки цифровых устройств на ПЛИС фирмы Altera …… 35

3.2. Программатор для ПЛИС фирмы Altera …………………………………….. 38

3.3. Особенности развертывания на компьютере среды системы

MAX+PLUS II фирмы Altera ………………………………………………….. 39

3.4. Особенности работы в среде системы MAX+PLUS II фирмы Altera ………. 40

ПРИЛОЖЕНИЕ 1 ……………………………………………………………………… 46

 

Литература

 

1. Цифровые интегральные микросхемы /П.П.Мальцев, Н.С.Долидзе и др. - М.:Радио и связь. 1994 - 240 с.

2. Берски Д. Соперничество высокой плотности с вентильными матрицами. Электроника. - 1991. - № 5. - C. 15 - 27.

3. Берски Д. Быстродействующие ППВМ - кристаллы с повышенной плотностью упаковки. // Электроника. - 1993. - .№ 18. - С. 44 - 57.

4. Забара С.С. и др. Проектирование логических схем нейрокомпьютера в элементном базисе ПЛИС XC2000. //Управляющие системы и машины. - 1993. - № 1. - С. 9 - 15.

5. Беpезнев А.Г. САПP ПЛИС фиpмы XILINX. // Мир ПК. - 1994. - .№4. - С. 54-58.

6. Support all Intel PLDs and FLEXlogic FPGA. PLDshell Plus/PLDasm. User Guide v3.1, 1993.

7. XILINX. The Programmable Logic Data Book. - San Jose, California. - 1993. - P.374.

8. Tuck B. FPGAs race for the gold in product development // Comput. Des. - 1992. Vol. 31, N4. -P. 88-90, 92, 96, 98-100, 102, 104.

9. Bursky D. Denser, faster FPGAs vie for gate-array applications // Electron. Des. -1993. -41,N11. -С. 55-56, 62-64, 68-71, 74-75.

 

 

 

 

 

 

© 2013 wikipage.com.ua - Дякуємо за посилання на wikipage.com.ua | Контакти